VLSI Design with Alliance Free CAD Tools: an Implementation Example

Contenido principal del artículo

Ramón Chávez-Bracamontes
Reyna Itzel García-López
Marco Antonio Gurrola-Navarro
Manuel Bandala-Sánchez

Resumen

This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as Serial Peripheral Interface, using the Alliance CAD System. The aim of this paper is to show how the work of VLSI design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the CMOS AMI C5 process that features 0.5 micrometer in transistor size, sponsored by the MOSIS Educational Program. Tests were made on a platform that transfers data from inertial sensor measurements to the designed SPI chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in VLSI design, as well as the feasibility of ICs manufacturing from school projects that have insufficient or no source of funding.

Detalles del artículo

Cómo citar
Chávez-Bracamontes, R., García-López, R. I., Gurrola-Navarro, M. A., & Bandala-Sánchez, M. (2016). VLSI Design with Alliance Free CAD Tools: an Implementation Example. Ingeniería Investigación Y Tecnología, 16(3). Recuperado a partir de https://www.journals.unam.mx/index.php/ingenieria/article/view/49580